تطبيق MDV التأكيد عمليات geMac

تشانغ هاى بو

(ZTE الدقيقة والتكنولوجيا المحدودة، وشنتشن 518055، الصين)

في التحقق، والمهندسين التحقق للتحقق من خطة غالبا ما تكون مكتوبة (خطة التحقق، vplan)، وتقوم بعد ذلك على لكتابة التحقق من حالات الاستخدام (testcase). أثناء سير المشروع، وسوف تستمر تغييرات التصميم إلى التحديث، ثم بعد بعض الوقت، وسوف يكون هناك تصميم والتحقق والتحقق من صحة خطط لاستخدام أمثلة الحالات التي لا تتطابق، وخطة التحقق نفسها تصبح مجرد إجراء شكلي، يحتاج المهندسون تحقق إضافية أيضا لتحديد المشكلة، العودة إلى حالات الاستخدام، والعمل للتحقق من مدير التقارير ومضمون العمل متنوعة. تحقق من أن المادة المثال من خلال geMac، يصف كيفية استخدام أدوات التحقق الإيقاع vManager مركز الانحدار، مركز متري، مركز تتبع وأكثر كفاءة تنفيذ التحقق العلمي.

testcase، vplan، geMac، vManager

في تدفق التحقق التقليدي، وعادة ما تبدأ في كتابة خطة جيدة التحقق (خطة التحقق، vplan)، ثم الكتابة التحقق من حالات الاستخدام (testcase). ولكن نظرا لمتطلبات المشروع، وتغيير مواصفات وخطط التحقق وtestcase لديها اختلاف كبير نسبيا في هذه القضية، testcase لا تعكس حقا خطة التحقق وخطة التحقق يفقد عزمه على إعداد testcase التوجيه. لتجنب حدوث ذلك، تحتاج إلى التحقق من الطلب على المهندسين يدويا، الذي يغطي الأهداف، التي تغطي نتائج الإدارة والتتبع، مما يجعل التحقق مرهقة عملية تكرارية، والحد من كفاءة التحقق تستغرق وقتا طويلا.

عن طريق vManager، مدفوعا مقياس التحقق الكامل (متري مدفوعة التحقق، MDV) عملية في اشارة الى الشكل 1، يمكن أن تحل المشاكل المذكورة أعلاه القائمة. MDV أسلوب المصادقة لاستخدام تغطية الوظيفية، والتحقق من صحة محاكاة والتحقق المتطلبات بتأكيد المعيار (القياسات)، والتحقق من وجود خطط لتصبح عملية التحقق من صحة نفسه (قابل للتنفيذ) جزءا من تنفيذ، أن استخدام أدوات الأتمتة عملية التحقق وضعت (أو قراءة) خطة التحقق، وبيانات التغطية جمع، يولد أنظمة المصادقة على أساس تقرير حالة. جعل خطة التحقق في جميع مراحل دورة المشروع بمثابة اختبار للتحقق من دور العملية.

1 تدفق MDV كاملة

1 لإنشاء خطة التحقق القابلة للتنفيذ

برنامج التحقق الكتابة هو لبدء عملية MDV بأكمله، vplanner تكون مكتوبة من قبل عملية MDV خطة التحقق، كما هو موضح في الشكل 2، مع إضافة اثنين من تجسيد في vplanner. هنا لم تبدأ برنامج التحقق الكتابة هو تماما جزء، وذلك أساسا لسببين: (1) التخطيط التحقق هو عملية متكررة، مع بدء التحقق، وكذلك على DUT (تصميم تحت الاختبار) يفهم تعميق خطة التحقق سيتم تعديل عدة مرات، وبالتالي فإن بداية، لكتابة حالتين استخدام بسيطة، من خلال تشغيل دفق البيانات، للقيام بأعمال المتابعة لإرساء أساس متين، (2) لاثنين من testcase على سبيل المثال، يركز على كيفية يتم تأسيس vplan سلسلة وtestcase إنشاء الجمعيات، وهذه الرابطة، هو أساس عملية MDV، وأنه يمكن أن تجعل من خطط التحقق تحديث وtestcase يعزز بعضها بعضا.

الشكل 2 vplanner الكتابة testcase

1.1 vsif ومحاكاة البرامج النصية

vsif (الدورة التأكيد تنسيق المدخلات) الملف هو جزء مهم من عملية MDV، توضح هذه الوثيقة حالة استخدام vManager عند بدء احتياجات المحاكاة التي يتعين القيام بها، واحتياجات النصي لأداء المحاكاة، كل حالة استخدام أطول وقت محاكاة الخ وثيقة تجسيد ، الجزء إعداد debug_tb.vsif الملف كما هو موضح في الشكل.

FIG 3 vsif ثيقة جزء

في هذه المجموعة geInternal_intfFormat داخل، وضعنا عددا من البذور ليتم تنفيذها في مجموعة المثال، يتم استخدام، واسم من حالات الاستخدام، ويتم تنفيذ هذا الوضع مع تجسيد، في حين قد يتم تعيين الآخرين وفقا لاحتياجاتهم من المعلومات.

1.2 vplan ومنصة التحقق testcase / نموذج التغطية (UCM) الزميلة

في إطلاق vManager vsif (الدورة التأكيد تنسيق المدخلات) الملف، بعد الانتهاء من المحاكاة، تحميل جلسة في vplanner ثم تحقق من منصة وvplan testcase testcase أنشئت بالتعاون المنطوق، كما هو مبين في الشكل.

FIG 4 الموافق الدورة المختارة

بعد ذلك، انقر فوق علامة التبويب القياسات، ثم قمت بتحديد المقابلة الجانبين الأيسر والأيمن من testcase الإطار، أنقر على الخريطة المرتبطة بها، ثم الخريطة، الحاجة vplan ليتم حفظها.

من خلال إدخال ما سبق، فقد أنشئت مع testcase vplan المرتبطة من خلال هذه الجمعية يمكن أن ينظر إليها في الوقت الحقيقي في التغطية vmanager vplan ميزة ذات الصلة (لا تقتصر على Testcase، ولكن يمكن أن تشمل أيضا التأكيد، والتغطية وظيفة، الخ 5 testcase فقط على سبيل المثال، حوالي كما وصفها التأكيد أدناه)، من خلال عرض هذا الرسم، مما يسمح للمحققين vplan أكثر حدسي فهم ميزة التي لم يتم تغطيتها، ويمكن ضبط اتجاه العمل العمل التركيز.

ويغطي الحال في FIG 5 vplan

قياس 2 متعدد الأبعاد وتحليل

عندما محاكاة لمرحلة معينة، والحاجة إلى testcase، التأكيد، تحليل التغطية الشاملة لثلاثة جوانب testcase الأداء في vplan يتم تنفيذه في عدد، ولكن أيضا ما تبقى، وإذا يتم تشغيل تأكيد، وكيف وصلت الكثير من التغطية، التي testcase للتغطية أحدث المساهمة، كل هذه التحليلات عمله في مركز التحليل.

2.1 testcase وتحليل التأكيد

أولا، طريقة فقا لأحد الأقسام الفرعية الزيادة المرتبطة الحالات، ومن ثم إطلاق وثائق vsif ذات الصلة vManager، والمحاكاة ليست كاملة، وبعد ذلك يمكن تحليلها في vManager في vplan الحمل المتصلة بالدورة، في FIG 6 يظهر على testcase جزئي التغطية:

الشكل (6) تحليل vplan

من الشكل (6) يمكن أن ينظر إليه في هذا vplan testcase بشأن متري gmii 1.1.1 وقد تم تغطية، من testcase واحد التي يمكن أن تأتي مؤقتا الى نهايته، إذا نحن بحاجة إلى إضافة استخدامه لاحقا الحالات المناسبة، قد يكون وفقا لل وصف أسلوب أعلاه قبل يتوافق التحليل.

كما ذكر سابقا، المهندسين التحقق الحاجة لتوسيع التحقق البعد، الكتابة testcase بيد واحدة فقط، يؤكدون أن التأكيد هو أداة مفيدة جدا، ثم يضاف تأكيد، سواء يتم تشغيل تأكيد، النجاح يتطلب الاهتمام. في المالديف، قد تنعكس التأكيد في vplan، ويمكن أن تكون مشابهة لخريطة المقابلة TestCase وأضاف في الشكل vplan أكدت 7 العروض.

FIG 7 vplan أضاف التأكيد

بعد نهاية المحاكاة، وتحميل الدورة المقابل، قد نفذت في خريطة vplan 8 من FIG.

FIG 8 الخريطة للحصول على تأكيد

بعد الانتهاء من الخريطة، قد يتم تحليلها التأكيد، كما هو موضح في الشكل 9.

FIG تغطية 9 التأكيد

بواسطة العملية المذكورة أعلاه، قد تكون مكتوبة التأكيد بوضوح تبين أن مزايا في الجوانب التالية:

(1) خطة التحقق التي التأكيد، يمكن بوضوح.

(2) تأكيد ما إذا كان تراكب يمكن العثور بسهولة في تحليل vplan.

لا تفوت التأكيد في الانحدار. وذلك لأن التأكيد له تأثير كبير على سرعة المحاكاة، وربما في محاكاة معينة، المهندسين التحقق "اغلاق" تأكيد، ولكن في الجزء الأخير من الانحدار وننسى "فتح"، في حين أجرى vplan استخدام جيدا في vmanager الخريطة vplan التحليل، يمكن للمرء تجنب هذا الوضع.

2.2 تحليل التغطية

تتطلب عملية التحقق من الاهتمام في المراحل المناسبة المتعلقة الوضع تغطية DUT من أجل حالات الاستخدام إضافة الوقت المناسب، في حين أنه في الانحدار، لتحديد أي استخدام الحالات للمساهمة تغطية مرتفعة نسبيا، ثم الانحدار من الوقت، يمكنك أن تعطي الأولوية لعودة، وتغطية نسبة مساهمة testcase منخفضة نسبيا، يمكن تحليلها لتحسين أسبابه. أيضا في تحليل التغطية وحدات معينة قد معرفة وتطوير الاتصالات بعد ذلك لا لزوم لها أو أي تغطية، لا تحتاج هذه الوحدات المراد تغطيتها في الوقت الذي تحليل تغطية على "إزالة" وفي نهاية المطاف إلى أن تصل إلى تغطية 100 هو للتفسير كما هو مبين في الشكل.

الرقم تحليل 10 التغطية

فإننا يمكن أن يكون 10 من خلال الاعتماد على تغطية حالة فهم بديهية، ولكن أيضا يمكن اسم المثيل، مثل النقر بزر الماوس الأيمن على GE4_MAC_TX، ثم تحليل أكثر تفصيلا مثل تحليل بلوك، تحليل التعبير والتحليل ولايات ميكرونيزيا الموحدة، وما إلى ذلك، لم يعد على هذه التفاصيل هنا نحن مناقشتها في التفاصيل، وترك القارئ في محاولة. وفيما يلي كيفية معرفة ملابسات مساهمة تغطية testcase، ثم في الانحدار لاحقا، يمكنك تنفيذ الأولوية للمساهمة التغطية استخدام حالة كبيرة.

في مركز التحليل، والرتبة يدير العمليات التي يقوم بها الطريقة الموضحة في FIG. وتعطى 11.

الرقم 11 يعمل الرتبة

يعمل رتبة في النتائج، والحاجة إلى التركيز على اثنين. testRankRuns (الترتيب)، وهذا العمود يظهر مساهمة استخدام القضية إلى التغطية الشاملة، عمود آخر هو delta_testRankRuns (الترتيب)، والذي يبين جميع عمليات المحاكاة المذكورة في هذه المحاكاة فيما يتعلق حالات الاستخدام حالات الاستخدام الأخرى، وحجم مساهمة التغطية . على سبيل المثال geInternal_intfFormat الصف الأول / RVC_pcs2mac_metric، في محاكاة مقارنة مع testcase الآخرين، والمساهمة في التغطية 67.74، وقال هؤلاء delta_tessRankRuns (الترتيب) 0 صف واحد، أنه في هذه المحاكاة، نسبة إلى أوقات أخرى محاكاة التغطية دون أي مساهمات إضافية. وتجدر الإشارة إلى أنه في الصف الأول RVC_pcs2mac_metric delta_tessRankRuns (الترتيب) من 67.74، في حين delta_tessRankRuns في الصف الأخير (الترتيب) 0، لأنه في المحاكاة قد يتم تنفيذ عدة مرات مع تجسيد كل من البذور وهي قد يكون هناك عشوائية، مع تغطية testcase للمساهمة من الحالات المختلفة التي تحدث.

3 على مستوى الدولة تقرير سير العمل في المشروع

3.1 مشروع تتبع حالة التقدم

المهندسين التحقق ليس فقط لاستكمال عملهم اليومي، يجب أن يكون هناك تقرير مرحلي للتحقق من مدير، ويوفر ميزة مريحة جدا في vManager تقرير، تقرير شكل ولدت يمكن أن تختلف، ومن ناحية أخرى، ومديري التحقق من صحة تحتاج التحقق المهندسين التركيز على ظروف كل تنفيذ testcase، مثل العدد الإجمالي للtestcase، عدد تمريرة، وعدد من الفشل؛ والتقدم المحرز أو لفترة من الوقت، والتحقق في. vManager من مركز تعقب يوفر وسيلة مريحة للغاية لتنويع الرسم البياني التقدم لإظهار جهود التحقق.

أولا، لقطة ضرورة أخذ، حدد جلسات ليتم تحليلها، ثم ينفذ العملية هو مبين في الشكل (12).

الرقم 12 لقطة لقطة

في مركز تعقب، يمكنك رؤية لون الجدول ولدت، حيث يتم تعيين شريط حتى لونها الخاص، يمكن أن ينظر إليه من الشكل 13 أن الدورة، مع ما مجموعه ست حالات، تمر وتفشل هو ثلاثة.

تنفيذ مثال 13 باستخدام (toatal، ممر، فشل)

وفقا لطريقة أعلاه، ثم قم بإضافة الدورة، نتيجة هو مبين في الشكل 14 أ.

FIG 14 يزيد تعدد جلسة

. FIG 14، فهم حدسي الوضع عدة تجسيدات أداء العمل اليومي؛ المركز في تتبع، قد يكون تتبع تغطية القضية من خلال تتبع التغطية، والمهندسين التحقق على نحو أفضل ضبط تنفيذ تجسيد، التي يتم استخدامها إلا في مثال تمرير أكثر من ذلك، كانت تغطية منخفضة، أو تم الحفاظ على مستوى ثابت، فإن ذلك ليس من المعقول تصميم testcase، أو لم تستخدم البذور عشوائي.

وبناء على هذا الاعتبار، ونحن نرى الوضع في تغطية مركز التتبع، الخطوات الرئيسية هو موضح في الشكل (15). النتائج النهائية هو موضح في الشكل (16).

الرقم 15 تتبع متري

FIG 16 تغطية الرسم البياني

مهندس التحقق، يولد البيانات اللازمة، والنتيجة قد يكون الطعام إلى مدير التحقق، نتائج البيانات التي تم إنشاؤها هي نقرة بسيطة نسبيا إنشاء تقرير، ومن ثم لتحديد المسار المناسب، كما هو موضح في الشكل (17). انظر يولد النتيجة النهائية 18 تظهر في FIG.

تقرير جيل 17

FIG 18 النهائي شكل أتش تي أم أل الرسم البياني (جزئيا)

المحتوى النهائي من الاهتمام إلى تنسيق ملف HTML من خلال مدير العمليات أعلاه للتحقق من التقرير، من الرسم البياني، وأكثر بديهية لإظهار التقدم في العمل، تغطية الاتجاهات، ويساعد في تقليل مخاطر المشروع، حفظ وإدارة المشاريع الوقت اللازم.

3.2 إدارة المشاريع المستندة إلى الملقم

في المقطع الأخير، أشرنا إلى المهندسين التحقق كيفية التحقق من التقرير المرحلي للمدير، كمدير التحقق من صحة، من وجهة نظر إدارة المشاريع، والحاجة إلى معرفة التقدم للفريق جميع المهندسين التحقق، وأدوات EDA التقليدية لا يمكن أن تجعل مدير التحقق الجميع يعرف التقدم في الوقت الحقيقي، وتنفيذ حالات الاستخدام، وغيرها من المعلومات مع الحالات تستغرق وقتا طويلا، هذه الحاجة المعلومات إلا أن يكون معروفا بعد عطلة نهاية الأسبوع أو في نهاية الملخص.

خادم يستند vManager تمكن، عنوان الخادم ورقم المنفذ، تحتاج إلى تعيين متغير البيئة بعد اكتمال الإعداد، والتحقق من المهندسين لبدء vManager على الخادم الخاص بك، عند إطلاق vsif ملف لبدء المحاكاة، وسيتم نقل هذه المعلومات إلى الخادم ، يمكن لمديري بدء التحقق vManager على أجهزتهم الخاصة، وعرض حالة كل شخص في مركز الانحدار، كما هو مبين في الشكل. 19.

19 عرض البنود في جميع seesion

بالطبع، يمكن للمدير التحقق عرض جميع تتبع مركز حالة جلسة العمل، مثل تمرير، تفشل، جلسة المدة الزمنية وما شابه ذلك، كما هو مبين في الشكل.

20 مشاهدة الرقم فريق تنفيذ جميع حالات الاستخدام

4 موجز

الإيقاع vManager كأداة لتحقيق أساليب المصادقة MDV، أن المشروع أصبح أتمتة عملية التحقق من صحة وتكنولوجيا الإدارة. هذا vplan المادة وكيفية ربط الدورة بدأت، مقدمة مفصلة لعملية معنى وتكوين الجمعيات. ثم تبين للقارئ كيف vManager المساعدات تكون testcase التحليل، تحليل التأكيد، يسلط الضوء على كيف في تحليل التغطية، الرتبة يعمل العثور على أكبر مساهمة في تغطية testcase كيف المساعدات. وأخيرا، وكيفية التحقق من أن يستخدمها مهندسو لإنشاء تقارير مركز تتبع لمزيد من ردود الفعل بديهية الخاص بك التقدم وتغطية الاتجاهات المسؤولة عن وحدة، وكيفية التحقق من استخدام الانحدار الجلسة مدير مركز لعرض الفريق أداء جيدا في مركز تتبع الرسم البياني تحقق شكل تنفيذ الدورة فريق بأكمله.

MDV تجسيد رفع وطريقة التحقق للتحقق من نوعية ترتبط ارتباطا وثيقا. الأداة نفسها لا يضمن اكتمال التحقق، لكنه لا يملك لوضع خطة التحقق القابلة للتنفيذ وإدارة والعودة تلقائيا للتحقق من إدارة البيانات والمحاكاة، تحليل التغطية، والتحقق من وظائف التصور التقدم توفير أساليب المصادقة قوية لتنفيذ MDV الدعم لعملية التحقق يأخذ الكثير من الوقت وفورات الإدارة، ويمكن للمهندس التحقق الحصول على مزيد من الوقت للتركيز على التصميم نفسه، لتصميم تحليل نقطة وظيفة المفصل والتحقق، من أجل تحسين التحقق اكتمالها.

عندما تتغير الخطة التحقق، كل التغييرات هي الأدوات التي يمكن تسجيلها وتتبعها لضمان نهاية المطاف يتم التحقق منها، وبالتالي خطة التحقق القابلة للتنفيذ في جميع مراحل عملية التحقق هي جزء مغزى، بدلا من أن تكون خطة التحقق التقليدية، ومشروع بعد الانتهاء من الأولي نادرا ما تستخدم. وفي الوقت نفسه، قدمت خطة التحقق القابلة للتنفيذ للتحقق من التقدم شفافة، وتحسين فريق التحقق للمساعدة على إثبات القدرة على التنبؤ واستخدام أفضل للموارد.

مراجع

Cadence.2_mdv_foundations_planning workshop.pdf.

Cadence.3_mdv_foundations_infrastructure_workshop.pdf.

مزايا العضوية في نهاية العام بعد التمديد!

المشي وشرب الشاي، والدردشة ...... اليوم هو يوم مشمس، والناس يتزاحمون على الشمس Jiangbeizui

"الصديقات 2: ليست هي نفسها تماما كما" أحد عشر أخوات رسميا تغيير الملفات المغامرة هي على وشك فتح

التكامل الموهوب 3DEM من رقاقة على تطبيق مغو المحاكاة

ofo يوم الآن البرمجيات الضعف يمكن سحب مظاريف حمراء ألف يوان، نجاح الصين نفسها أولا النقل بسيارات الأجرة الطائرات البرمائية | لى فنغ الصباح

نيتياس أغنية السنوية الوحيد الأكثر أهمية "مباراة الاجتماعي" الموسيقى الاجتماعية يمكن أن تنقذ المرضى وحيدا؟

مسرعات الأجهزة متكاملة للغاية النماذج منصة البروتيوم

أسرع سوبر تهمة فلاش! وأشادت وسائل الاعلام الاجنبية التكنولوجيا ممن لهم SuperVOOC

باكز Dingfan الصواريخ أخذ ثلاثة على التوالي هاردن 23 + 10 + 19 + 147 رسائل شقيق

عالية السرعة الناقل التسلسلي السلبي قناة النمذجة والمعايرة

بعد أغمي على رجل الماراثون CPR أحيا على الرغم من أن كتلة المدى

هذه التكنولوجيا سلس الملابس الداخلية مثير، والوقاية الفعالة من مرض سرطان الثدي | تشير غرامة مسرح

أبل لماذا لا نتعلم ماوتاي سعر مرتفع؟